您现在所在位置: 半岛.体育 > 半岛.体育新闻中心 > 公司资讯

公司资讯

Company information

行业动态

Industry dynamics

常见问题

Common Problem

半岛官网半导体常用术语

发布日期:2024-05-16 09:45 浏览次数:

  BD半岛·体育2018年3月,中美贸易摩擦开始,大概到2021年左右,全球经济结构发生显著变化:中国开始大力发展制造业,降低房地产、基建的占比;美国拉盟友搞再工业化;一带一路国家进入到工业化、城镇化阶段。

  再加上互联网等黄金赛道,在经历二十年大扩张之后,特别是2009到2019移动互联网的井喷式发展之后,对GDP的贡献开始持续降低,人才需求量大幅减少。

  几个因素叠加,形成人才驱赶效应,许多年轻人开始愿意成为一名工厂里面的半导体工程师。

  半导体是起源于美国硅谷的高科技领域,中英文交织和字母缩写拼接,是这个行业特有的语言范式。

  每个行业都有一套自己专属的范畴体系,它如同行业内的秘语,只有业内人才了解其内在含义,外行人只能知道皮毛,通常称之“行业黑话”。

  当我们踏入一个全新行业,首要任务便是去探索和掌握这个行业内的专属范畴体系。

  1.这里的FAB,是Fabrication的简称,指的是从事晶圆制造的代工厂。半导体和泛半导体通常使用FAB这个词,它和其他电子制造的“工厂”同义。在平时沟通的语境中,FAB还可以被指代为“洁净室”,比如:在FAB工作的工程师上班时说:我进FAB了,其实指的是我进洁净室了。业界对于多个FAB,通常使用FAB1、FAB2…这样的编号来扩展,也有叫晶圆一厂、晶圆二厂的…。

  2.FAB的车间是Clean Room(洁净室),进去要穿无尘服和专门的无尘鞋,配套的还有一次性网帽、口罩和手套,因此每个洁净室外面都会配一个衣帽间,衣服要特别受到管制,防止拖到地板之类粘上颗粒物。

  衣帽间和车间中间有风淋门隔挡,进去车间要测静电和过防护门,吹掉身上的颗粒物。进洁净室前不允许吸烟和喷香水,也是为了防止颗粒污染。

  Particle是半导体杀手,在FAB工作,这个词汇终身逃不脱,会伴随着你的整个职业生涯,可能某个时刻还会让你伤心欲绝。

  3.车间里面首先是各种设备,每台设备会贴设备ID,用以唯一识别它是它自己。总体上面可以分为四种,第一种是加工类:就是对晶圆进行增材、减材、改性的设备,比如光刻机、Track、PVD机等等;第二种是检查类:对Wafer进行缺陷检查、参数量测和电性测试,比如椭偏仪、AOI、KLA、台阶仪,Tester等等;第三种是搬运类,比如OHT(Overhead Hoist Transport,天车),AGV;第四种是存储类,比如NTB(Near-Tool Buffer,机台侧仓储设备)、STK(Stocker,智能晶圆存储设备)。

  4.加工设备分为single和Batch,sigle是一片一片加工,Batch是多片同时加工,多片加工又分为一批多片和多批多片,Batch通常指代多批多片为主,比如炉管组Batch。

  5.检查其实可再细分为检测、量测和目检三种,检测主要是针对缺陷进行扫描,比如颗粒、图形缺陷这些;量测主要是量出参数值,比如:膜厚、应力、电阻值、套准偏差、折射率、反射率、台阶、粗糙度这些;用显微镜和人眼看通常归到目检,看看颗粒和刮痕这些,不那么精细。

  4.加工设备和检查设备配套组合在一起为工艺加工服务。工艺是车间内资源的有序组织形式,晶圆制造是一个循环加工的过程。主要是把“立体”的电路图形在衬底上制造出来。因为是立体的,所以就会有层(Layer)的概念,但是每一层要经历的工艺其实都差不多。

  这些差不多的工艺,通常被概括为四大模组:薄膜、光刻(黄光)、刻蚀、注入(扩散),每次工艺过后通常都会搭配量测或者检测工序,用以确定工艺的结果,比如,薄膜后就会要量一下膜厚、均匀度和应力,光刻和刻蚀后会要量一下线宽,扩散后会量一下片阻值之类,有些关键层还要扫缺陷,这是YE的重点监控项。全部做完,要测电性看关态和开态电阻,阈值电压等电气特性是否符合设计要求,都做完,还要做可靠性,这些是TE和PIE关注的重点项。

  一片晶圆做下来有几百到几千步,要进出上百种机台,有些机台要进出多次,每进出一次叫Move、时间从几分钟到几小时不等,进去叫Track In,出来叫Track Out,或者叫Job In和Job Out。工程师、操作员和工厂领导,天天在处理的事情就是确保FAB Run货稳定。

  刚刚入行时候,公司新人训考了这一题:20世纪,最伟大的发明是什么?答案是:集成电路。

  这是1958年德州仪器的第一块锗集成电路:将五个元器件集成,制作出一个相移振荡器的简易集成电路。用到的技术是:Diffusion(扩散:1952年被提出,用于改变Si和Ge的导电类型)、PVD(蒸镀法的物理气相沉积)和Etching(刻蚀),然后用金线实现互联。

  PS:PVD现在主要用于金属层镀膜,金属层一般用控片去测量膜层质量不测产品片,目的是防止扎针时的膜层损伤。

  这是1959年仙童半导体的第一块集成电路:创造性地在氧化膜上用平面工艺(光刻工艺)制作出铝膜连线,使电子元件和导线合成一体,为半导体集成电路工艺和量产奠定了基础。

  那时候的光罩(Mask/Reticle)版图还是靠手绘为主,比较简陋,IC上的晶体管数量也不多。光刻技术是一项伟大的发明,而这要归功于诺伊斯和摩尔,那时候他俩好像还没三十岁。

  集成电路,主要通过集成将不同元件组合在一起,实现不同电路功能。比如:下面这张电路图,包含晶体管、电阻、电容等,就可以集成在一颗芯片里面。

  在电子的世界里,二进制是万物的基础,通过1和0可以表征复杂的控制和运算逻辑,二进制与物理世界的对应关系就是“开”和“关”。

  比如,一台计算机就可以理解成是由一系列开关组成的电路的集合。按下开机键,就改变了电流,经由门电路,它被芯片翻译为0和1的指令,到存储器进行转换,然后输入到CPU的控制单元进行转换,再输入到CPU的运算单元进行计算,再由一系列门电路组成的电路中去实现读取指令,分析指令,读取指令值(如BIOS硬件自检,MBR操作系统选择等) ,执行指令的过程,最终把指令结果输出给外设,比如显示器。于是,显示器里面的每个晶粒通电,屏幕亮起。其他的各种指示灯同理,依次亮起。

  注:Intel从做SRAM起家,后来做DRAM,最后做CPU芯片,开创了X86架构。离开仙童后,诺伊斯和摩尔创立了Intel。

  同样,很多软件的运行,也一样至少需要一个外界给予触发,触发之后就可以通过事先组合好的“0和1指令”(开关)去自运作,这个触发就是一个变量值的输入。这种运作模式叫事件触发机制。它的基础元件就是“开关”。

  PS:IDE是一个集成了编译器、虚拟机和控制台的工具,这个芯片工程师可能不了解,但是JAVA码农应该最熟悉不过了。

  在没有集成电路之前,比如,诞生于1946年2月14号情人节的这一台计算机:ENIAC,那时候,电子管需要一个一个装上去。很容易坏掉,还要专门有一个排的士兵,专门去更换坏掉的电子管。

  有了集成电路之后,就可以在衬底上直接一次性制造出来。最后再切割成一颗一颗的芯片。

  PS:25年后的1969 年,一家名为Busicom的日本计算器制造商聘请英特尔为 Busicom 设计计算器芯片。英特尔设计了一种由四个集成电路 (IC) 组成的芯片组,极大地简化了计算器的内部设计:开发并商业化了世界上第一个单芯片微处理器英特尔 4004。它还设计了三种支持芯片:4001、4002 和 4003。奠定了后来X86的基础。此时的4004 CPU 运行频率仅为 740 KHz,这个使用 10 微米工艺的芯片包含有 2,250 个晶体管。用一个指甲盖大小的芯片实现了ENIAC的基本功能。

  为了配合开关更好运作,还要有一些电压,电阻,电感,电容的规格控制,以确保其功能ok和寿命足够,这个规格就是Spec,也就是在FAB工作时,天天说的OOS里面的S,而OO则是Out of,超过的意思,这是一个SPC的统计学概念。

  集成电路里面的一个开关,通常就是一个晶体管,比如:MOSFET。开关与开关之间还要有金属互联才能作用,这就是电路。

  不同电路被封装在不同的芯片之内,由总线连接实现彼此互通。于是,电脑通过触发后就可以自动运作,各种电器通过触发后就可以自动运作。

  通过开关去控制其他元器件,或者通过特殊的机制把自己等效于其他元器件,从而实现复杂的工作原理。比如,要存储数据,就离不开电容,电容在微观世界里,就是在两片金属板中间填充绝缘介质材料。这样,充电电子聚集在一块板上,形成电压,就是1;放电,两块板没有电压,就是0,一系列的电容搭配上mosfet(开关)就可以控制电容的充电和放电,八个一组,就有八个0和1的组合,就是二进制里面的字节概念,这就是内存芯片在FAB工艺实现的底层逻辑。

  FAB厂干的活就是在衬底上来制造出这样的上面所述的电路:开关(晶体管)+其他器件(如电容)+连接导线(金属互联),做完之后,不能,再加一个保护层,就是钝化处理。最后,测电性,结果ok的晶圆成品,会交付给下游封测厂去切割、封装和测试,然后,变成可交付给更下游电子厂的部件,比如CPU(包括控制单元,运算单元等)、内存,去给终端产品的组装厂使用,比如世界上最大的电子代工厂富士康,还要立讯精密等。

  通常,我们把晶圆制造叫前道,封测叫后道。目前,大陆前道先进工艺被卡脖子比较厉害,而晶圆代工,封测,乃至更下游的消费电子代工,则是宝岛台湾厂商的强项,大陆出口前100里面,目前台厂占三分之一。

  在晶圆代工领域,TSMC台积电全球第一,遥遥遥遥领先第二名,是业界标杆,岛内也是说它肝的不行,但终究还是给的不少。大陆标杆则是SMIC中芯国际,现在是梁总主持大局,开会三句话说不清楚问题就要出去叫老板来说。在FAB上班,信息传达能力还是有点重要的。

  集成电路的发明,与四大关键技术息息相关,首先是扩散(Diffusion),有了它工厂才可以给半导体参杂不同的载流子形成PN结,是半导体改性的前提,第一块德州仪器的芯片就有用到了;薄膜(Thin Film)有了它工厂才可以在衬底上构架起层的概念,实现增材的需求,是集成电路纵向延展的必要条件;光刻绘制图案,实现图案转移,是平面技术的基础;刻蚀在光刻指引下,实现针对性减材,四者相互配合,构建起整个复杂的半导体工艺的大厦。

  上面是纯技术维度的理解。随着现代工厂制度的发展,半导体FAB其实在实践中,还赋予了四大模组更多管理的涵义。

  那就是分工:把类似和相关的工序组成一个集合,旨在让人能够聚焦于特定的一些工艺和机台而不是全部,以达到熟能生巧,从而确保工厂 RUN 货和产出的的稳定与高效。分工很好理解,因为是微纳技术,操场大小的地方去控制头发丝大小的平整度,工程师实在做不到,所以还是分细一点好处理。

  这样的分配模式,工程师就只需要做一部分对应的工作,而不是全部。比如,刻蚀工艺工程师专门做刻蚀的工作,不要做薄膜的工作。

  分工来自管理实践,并不是一个严谨的科学技术分类,因此四大模组除了技术涵义外,就同时还包含有部门和位置的意义。比如,薄膜,可以是薄膜这个部门,薄膜这个工艺类型,FAB里面薄膜这个区域。

  有了上面的背景你就可以看懂这句话的意思了:我在薄膜请薄膜涨了一层薄膜。当然,工作时候,你最好不要这样讲,不然会请你老板来讲。

  晶圆制造包括隔离、器件生长、通孔和金属互联这些主要层次,每个层次都要经历四大模组中的部分或全部。

  刚开始入行的新人,很难理解循环加工的模式,会线性地以为:只要做连续的四个部分,就可以完成芯片的制作,其实,这并不是工厂工艺实现的真相。为了附和这样的理解,就增加了Stage的概念,比如做30个 Stage就做完。Stage是工段,一个Stage会包含多个Step,下面的术语部分会说明。

  在FAB,工艺通常会被串在一起形成一份文件,这份文件行业术语可以叫作Flow,FlowChart或者工艺路线,里面记载着晶圆从Wafer Start到Shipping 全流程工程实现的细节,比如:Step、Stage、Layer、Recipe Id、EDC Plan、Reticle Id、Capability Id等,是整个工厂运作和 Run 货的基础,也属于各个工厂营业秘密的范畴。

  衬底是制造电路的原材料,它是光滑的原片,FAB厂会在上面进行图形化,然后通过增材、减材和改性处理,把一系列类似的电路“立体式”(打引号是因为不是真的空心,而是有介质层填充,介质的意思就是不导电的材质)地制造在上面,变成可出货的成品。

  比如前文所述的“开关”,通常分两种,一种是通电打开,一种断电才打开,如果在晶圆上要同时做这两种开关,就是CMOS工艺就可以实现。而另外两类,则只有一种类型的开关:PMOS或者NMOS工艺,只做一种的通常是做NMOS,也就是增强型,就是通电才导通,比如功率器件中常常使用的PMOS工艺等。

  CMOS能耗比单纯的PMOS和NMOS低很多,是集成电路工艺实现的绝对主流,现在先进制程90%以上都是CMOS工艺,这种加工方式,功耗低、速度快、集成度高、抗干扰能力强,同时,成本也低,更便于大规模生产,是手机半岛官网、电视机、计算机迅速普及的基础。

  回溯过去,一路从电子管到晶体管,锗晶体管,Si晶体管,平面处理工艺(仙童,解决晶体管耐摔问题),集成电路(德州仪器、仙童),PMOS和NMOS(DMOS工艺),再到CMOS的演进,其实都是对性能和应用侧场景问题不断解决和迭代的过程。许许多多公司的工程师和专家的实践经过市场的优胜劣汰,最终变成业界最佳实践。

  CMOS工艺它也来自鼎鼎大名的仙童半导体,1963年仙童的萨支唐指导F.M.Wanlass共同发明了CMOS技术(互补金属氧化物半导体的缩写)

  注:1968年诺伊斯(用光刻技术实现平面工艺,女朋友一大堆)和摩尔(摩尔定律)离开仙童半导体,创立Intel,他俩都是肖克利(1948年发明晶体管,技术牛,不会管理)实验室的八叛逆,离开肖克利实验室后创立了仙童半导体,仙童半导体的母公司是做摄影器材的,所以可能光刻技术母公司有提供支持;1969年硅谷半导体工程师大会400多人只有24个人没有在仙童上过班;1969年,Intel发布第一款MOS存储器1101:开始时,在50mm晶圆上,只能做两个MOS(良率还低:无法解决金属sio2和si之间裂纹问题),Intel的摩尔使用自对准栅工艺(1961年仙童开发出来的工艺?poly那道Layer,栅氧很薄),可以做25个,成本大幅下降。1970年发布1103 DRAM;1974年仙童半导体被卖给法国公司。仙童半导体,在半导体产业历史上曾经是一个神一样的存在。后来他的竞争对手德州仪器成为霸主,张忠某在TI上班,他比摩尔小2岁,比诺伊斯小4岁,他仨年轻时候一起唱歌、喝酒烫头过。

  CMOS工艺核心大概包括四大部分:场氧隔,栅氧制作(器件制作)、金属互联(平面互联,垂直互联)和钝化保护,可以实现各种逻辑门电路,下面以反相器为例概述其工艺过程。

  把两个电阻串联在一起,U=U1+U2:R1=0时,U=U2;R2=0时,U=U1。R换成两个开关,也就是MOSFET,串联在一起就可以实现反相器的功能了。上图旋转90°,可以用来理解具下图:

  忽略掉左边A和连线,VDD到VSS的电压就是两个串联电阻的电压之和,其中“X”相当于起到了电阻的作用,“✓”相当于导线,通过A把其中一个电阻变成0,那么就相当于把电压都给到另外一个电阻上,在B处捕获电压,就可以感知A的变化。

  也就是,通过A可以控制B是高电平还是低电平,相当于组成一个非门电路:输入端A低压,输出端B就是高压;输入端A高压,输出端B就是低压,实现一个取反的功能。

  上面两张图结合一起,就发现CMOS工艺二者互为负载电阻,相当于静态功耗接近为0,解决了之前工程实现中的大功耗问题,为后续芯片小型化和大发展奠定了工艺基础。

  沿着遇到问题,解决问题的思路,集成电路持续向前发展,从美国硅谷一路蔓延到亚洲,席卷全球,引发一场科技革命,极大改变人们的生活和工作模式。

  在Wafer上要做出反相器,首先要Design House绘制出版图,交给代工厂,用于指导工艺实现,版图大概长这样:

  VIN同时可以给两个MOSFET的栅极供电,相当于原理图的A,用金属连在一起,又因为物理上和Vout区域重叠了,要在一个平面的话,就要做成一个门的形状。门里面是多晶硅材质(Poly),它的电子迁移率还可以,相当于起到MOS这三个单词里面Metal的作用,用多晶硅取代金属,就用到了前面所说的自对准工艺。这样可以少一层,更节约生产成本。

  中间两块大的正方形就是两只“开关”,左右两边的矩形和衬底相连,相当于衬底,最左边用于接地(VSS),最右边用于接电源(VDD)。

  四块矩形区域的白色范围内是有源区:长器件的地方,其中,包裹白线区域的地方要比白线内区域大一点,这个区域是重参杂区(N+和P+)。

  绿色的VDD、VSS、VIN、VOUT是金属,绿域里面的正方形也是金属:因为是多层,它把上下层连在一起,叫通孔(Contact)。

  立体结构它通过By Layer加工实现,这个Layer是光罩层的意思,下图样例相当于七层光罩:

  注:N是negative,P是positive,N+就是引入负电荷,也就是多余的电子,P+是引入多余的正电荷,就是多余的空穴,电子和空穴都被称之为载流子。定义源极到漏极的方向是载流子的运动方向,也就是载流子是从源流入到漏,源头流入一个漏洞,再通过漏洞流到电源。

  PMOS就是正(P)电荷从源流到漏,NMOS就是负(N)电荷从源流到漏,电流是正电荷的流动方向,因此NMOS和PMOS的电流方向相反。

  而NMOS和PMOS里面的N和P指的是沟道里面载流子是电子还是空穴来定义的,沟道的作用是联通源和漏,因此源和漏极里面填充的是啥和沟道内的电性又是一样的。

  这个拆解图同样颜色的,代表是同一层,同一层是一起加工的,而他们作用在衬底上并不连续,比如Active,就有四块不连续的矩形,因此,不要被加工的部分就要被挡起来,只加工没被挡住的部分,而挡住的部分通常就是使用PR(光刻胶),有些工艺是机械研磨就要用其他材料阻挡,比如SiN,但是这个不能直接长在si表面会损伤衬底,于是要先长一层Sio2,Sio2晶相与si可以完美贴合,没有应力风险,再在sio2上长SiN。

  注:一块光罩通常可以一次性曝光多颗Die,比如16颗、4颗之类,每一次曝光称为一次“Shot”,每个shot有多颗Die,其中一颗一般是Test key用来长WAT测试的图形。

  有源区彼此之间要做电气隔离,避免相互干扰,隔离的材料是SIO2,就是场氧制造,形成边界,用Gap-Fill工艺制作。

  Active Area覆膜与边界刻蚀:有源区保护,把要长器件的保护起来,长一层SiO2(几纳米,自然氧化厚度大概只有几个原子的厚度,一般1nm左右,不超过2.5nm),再长一层SiN(几百纳米),SiN比较硬起到CMP阻挡层的作用,再光刻,刻蚀,把边界刻蚀出来。这里每长一层膜都要量一下膜厚,监控是不是符合规格要求,刻蚀要看CD线:对刻蚀出来的凹槽进行CVD填充SiO2,然后CMP去掉多余的SiO2和刻蚀掉SiN,最后隔离出一个一个井的边界,这样“开关”只在这个边界范围内加工。(隔离工艺分两种:locos和sti,为了解决鸟嘴效应,现在都是用STI工艺)

  MOSFET要在衬底上长,因为要同时长两种MOSFET,所以就要有两种衬底,分别是N型和P型衬底。两口井就相当于两种衬底的意思。

  热氧化长一层SiO2的牺牲层,用于做井注入时候的保护层,防止衬底表面被损伤。然后光刻,刻蚀,注入,形成P井和N井。

  栅氧制造:先长两层薄膜,分别是Poly和wsi2,再光刻,刻蚀,形成N井和P井的栅极,就是那个门形状。

  注:栅氧非常薄,越薄电场越大,越容易形成反型层。(反型:将P的的电子吸引到薄薄的一层,相当于变成了N)

  用LPCVD慢速长SiO2和WSi2,再光刻,和用干法刻蚀和去胶,形成栅氧,再用等离子CVD形成侧墙。再做轻注入。

  用自对准工艺(Intel 1970年前后研发mos sram时候开发的工艺),参杂N+和P+改变阈值电压,也就是N的重参杂和P的重参杂,分别做出NMOS和PMOS的源漏极。

  低阻值层:栅极、源极和漏极表面要生成一层低阻值物,用于后续金属互联层的链接点。

  注:P井注入N+长NMOS,N井注入P+长PMOS,形成PNP和NPN的三明治结构,就得到一个从PMOS的源极到漏极,再从NMOS的漏极到源极的CMOS电路。

  上面的加工过程并不是一个一个“开关”去加工,而是全部一起加工。以加工STI边界为例:先涂满胶,再把要加工的边界显示出来(曝光、显影、刻蚀然,后去掉边界的胶和之前长起来的Sio2和SiN),这样没胶的挖下去的部分就是我们要的,而有胶的盖住的部分则是我们不希望被加工的井内有源区,再进行淀积形成边界隔离带,最后去胶,这样边界就加工出来了。

  后续再在有源区内,用类似的批量处理方法去一起加工出一系列的栅极、源/漏极,于是,几千万个或几亿个,乃至百亿个晶体管被生产出来。这些晶体管连在一起就形成一个die,也就是所谓的裸芯片,也叫管芯。

  一个die有多少个晶体管以及它们怎么连,通常是芯片设计公司会做好,变成版图,然后再交给FAB厂,这就是所谓的集成电路。

  底部器件制造完成之后,晶圆上就有非常多的MOSFET,它们彼此之间被绝缘氧化物(Sio2)隔离开,密密麻麻,但是又彼此独立。为了让它们能够彼此协作进行工作,就要将这些MOSFET链接起来,这些链接物通常是钨(CVD工艺),铜(电镀工艺)。

  连起来的这些晶体管,导通就是1,不导通就是0,由栅极进行控制。电路越复杂堆叠层数就会越多,比如几层到十几层。根据尺寸不一样,一片晶圆上可以做几十到几万个Die,最后要切下来封装。

  注:6寸,8寸,12寸不同,还和沟道长度有关系,尺寸越大,做得越小,就可以产出越多,成本就越低。si基现在8寸,12寸为主,6寸及以下要慢慢淘汰,第三代半导体,比如SIC和GaN目前8寸能够量产的厂商还是个位数,六寸和4寸还有市场。

  制造完成前面的器件层和金属层之后,还要加一层保护,比如SiO2或者SiN将其包裹起来,再开几个金属链接点Pad用于后续测试和封装使用。

  到这里,Wafer的制造过程基本就已完成,接下来就是要做成品测试以及为发给后道封装做的一些准备性工艺了半岛官网。

  成品之后要进行WAT测试和CP测试,WAT主要是针对Test Key的图形进行抽测,CP是对管芯进行全测,主要测试的内容是一些电气参数,就是所谓的电性测试,电性无外乎电容、电阻、电流、电压这些,其实和常识理解的差不多,只是把这些参数放到MOSFET的场景去而已。比如:给源漏加电压,测试漏电流,给栅极加电压监控源漏电流,测试阈值电压等等。

  以下是一张CP测试的放大图,展示的是ProbeCard扎到Die的PAD上的过程:

  a.我要得到施加到栅极的电压是一个固定值,同一个开关不允许变来变去,这个值被定义为Vt,就不能再是Vt+n。否则容易出现:有时候,加了电压,但是开关没打开的情况,如果是车规芯片,那就GG了。

  这个Vt就是所谓的阈值电压(Threshold Voltage),也就是产生强反转所需的最小电压。这个值是客户根据使用场景设定好的,比如手机和汽车上用的MOS(开关),肯定就不一样。因此,FAB做出来的结果一定要接近客户的设计值。

  b.当Vt确定后,往栅极加电压,就要可以控制电流,这个加上去的电压和被控制的电流它们之间的关系以及自己的稳定性也要被规范。

  根据原理,当栅极电压VgVt时, MOS处于关的状态,而Vg〉=Vt时,源/漏之间便产生导电沟道,MOS处于开态。否则就不是ok的mos。不同场景的Vg,通常也希望是一个高于Vt的固定值。这样要打开开关,输入一个固定Vg就行,才不会出现意外,工厂要测试多组接近于Vt的值,得到一个100%可靠的且又不会高于Vt太多的结果。

  c.那加了一个固定的Vg电流是不是稳定的呢?不是的,实际会受环境影响漂来漂去,比如温度高温度低就会有差异。那就要卡一下最大电流,就是所谓的饱和电流。也就是在栅压(Vg)一定时,源/漏(Source/Drain)之间流动的最大电流,定义为Idsat,这里I是电流的意思。

  d.开时候有电流,关时候就要没电流,这才是一颗理想的开关。可是实际上很难做到,所以只能追求它越小越好。Ioff,这里I是电流的意思,ioff叫关态电流。Vg=0时的源、漏级之间的电流,一般要求此电流值越小越好。Ioff越小, 表示栅极的控制能力愈好, 可以避免不必要的漏电流(省电)。

  所以前层的一些异常也要纳入最终的出货考量,比如再做栅氧的时候,某颗管芯有一个颗粒,即使最后电气指标都合格,客户也有Rule要求这一颗算不良,就要给它标记为不良。

  这种跨层的控制,人是无法识别的,因此需要有一套良率管理系统进行辅助,通过叠图分析,以辅助工厂进行判断,这个过程叫做Map Control,这个系统的名字叫做YMS系统。

  叠图分析完成最终结果会去Ink机台进行Ink处理,最后再真空包装后,Shipping 入库,由仓库发给客户,并没有被客退,整个前道过程才算结束。

  注:以上只是从纯工艺实现的角度介绍了晶圆制造过程,其实这其中还有很多关于工厂运营的内容被省略了,而工厂运营的体制和机制才是FAB能不能赚钱的关键。

  总体而言,晶圆来料表面是光滑平坦的,要在上面加工出错综复杂的器件和金属互联线路,需要经过图形化、增材、减材和改性处理。这些过程主要和化学与物理离子轰击有关。

  其中,增材就是薄膜相关工艺,减材就是刻蚀相关工艺,改性就是注入相关工艺,还有就是上述三个处理过程发生的具置通常要在晶圆进行图形化,以指示加工的范围,则是光刻的工作,这就是所谓的四大模组。

  晶圆的制造过程,是一层一层往上堆叠的过程,而在加工其中一层的时候,经常需要经历四大Module,比如:PW这一层,要经历PW_DEP(淀积)、PW_PHO(Litho)(黄光)、PW_ETC(刻蚀)、PW_IMP(注入)、PW_RMV(去胶)。

  Stage(工段)是LAYER的下一级,Step的上一级。同一个模组内,同一层的Step归为一个Stage,比如:黄光,就包括涂胶、曝光、显影、Overlay、CD这些Step,在加工PW时,它们就都属于PW_PHO这个Stage。

  注:对工艺过程的划分,其实某种程度,也算是一个工程管理的问题,并不是死规定,同一个Layer同一个Module也可以分为多个Stage进行管理,比如,如果是两个子部门负责的话。这些规则,会被固化到MES系统之内加以管理。

  TF:thin film,薄膜。在晶圆表面长一个膜层,比如牺牲层的Sio2,阻挡层的SiN之类。

  因为是“膜”,所以膜厚,均匀度,平坦度,台阶覆盖(针对孔,比如前文的淀积通孔的钨)就是衡量它的指标。

  在FAB,薄膜通常分为三大块:PVD、CVD和CMP,前面两个分别是物理气相和化学气相沉积,这其中的气字非常经典,代表了这两项工艺的核心:通过气化物去附着在Wafer表面。

  因为不同膜层的重要性不同,就衍生出不同的薄膜成长工艺。要获得好的均匀度和平坦度,就要在真空环境下来完成,原因是:真空环境,把金属气化之后就会变四面八方均匀地运动。

  针对膜层指标的不懈追求,逐渐发展出不同的工艺形态,比如蒸镀法PVD、溅射法PVD;不需要真空的APCVD、以及需要真空的LPCVD和PECVD、ALD这些工艺,它们各有优缺点,以满足工程师在不同条件下的应用要求。

  因为长膜,避免不了坑坑洼洼,就要磨平,于是要汤汤水水的CMP出马做平坦化处理。

  CMP化学机械研磨,除了对平面做平坦化处理外,还有去除多余的金属以防止短路和去除STI多余的Sio2的作用。防止把不应该磨的磨掉,就要加阻挡层,比如SiN。

  注:为了达到真空要求会用到Pump泮浦(泵):用于抽真空,抽完真空,还会用到真空计:量测是否达到工艺的要的真空度,真空的单位是托(torr),毫托,帕斯卡这些。这些内容会在Recipe里面设置,设置Recipe是工艺工程师的主要工作,有个管理RCP的系统叫RMS。

  通常这些参数设定之后,不可随意更改,主要靠RMS系统来管理Recipe参数。FDC则用于监控机台参数,以确保万无一失。

  把杂质参入Wafer内,实现电学性质的改变。比如:注入P和B(替位式扩散),形成N注入和P注入。

  炉管的加工过程,是在炉子里面加工,可以一次性加工多个LOT,一个Lot通常25片,多个Lot组织在一起加工就是一个Batch,最多可以放175片,因为特殊槽位会产生各种Process问题,通常不放满,可以是150片,120片,100片这样。一个Batch通常加工久的要6到8个小时一炉,加工个6到8炉,就要做DC Clean,大概要做一天。因此,工厂里面怎样排货,才能产能最大化,也是一个课题。比如没满炉,你是等呢还是不等?

  5片一批是4英寸时代遗留下来的传统,那时候都是纸档runcard,从工艺参数进行大量实验研究表明,当FOUP是25片时工作效率最高,主要是很多机台是5片抓取加工,是一个最佳实践的结果。现在有些12寸FAB用的是24片,25片适合diff工艺,diff设备可以一次抓取5片,可以节省时间,随着chamber设备占比增加,很多chamber设备是一台设备挂偶数个chamber,还有些chamber设备一次放入6片wafer,这个时候24片就体现出优势了,可以节约时间和dummy wafer用量,还有asml光刻机的stage是两个,为了overlay更优,强制单数片在stage1上曝光,双数片在stage2上曝光如果25片一批,前面lot第25片和后面lot第1片都是单数,会造成光刻机stage浪费交换一次的时间,24片就好一点。

  扩散:通过高温,激活离子活性,运动到晶圆内部。不可控,衬底要加热。加热会改变衬底内部杂质分布。

  注入:不要加热,属于可以常温下下处理的一种工艺,可以透过掩蔽膜,要控制能力,剂量和角度(避免沟道效应)。会对晶格造成损伤。

  ET:刻蚀,用化学或物理的方法做减材处理,分为干刻和湿刻,要刻得刚刚好,不能过刻,也不能不足,刻蚀率和选择比就很重要。

  刻蚀速率通常是固定值比较好,因为刻蚀是通过控制时间来进行加工。为了得到这个值就要经常做monitor,前量,后量,再用公式算出结果。有变更就要去调参数,让刻蚀速率稳定。

  刻蚀考虑的指标除了Etch rate外和Selectivility(选择比,相对刻蚀速率)外,还有Uniformity(均匀度,坑坑洼洼),Profile(刻蚀图形规则度:斜着刻,弧形还是垂直刻) ,End point(终点的选择,到了就停止再刻,比如要sio2)这些。

  PH:黄光,车间里面这个区域的灯都是黄色的,包括涂胶,曝光,显影三大工序,胶分为正胶和负胶(负胶是曝光部分显影后会留下来,正胶则相反,可以把负当作“附”着来辅助记忆),原理是:光刻胶中含有感光剂,被照射后会发生化学反应,使得被照射部分和未被照射部分,接触到显影液时候的溶解速度相差三个数量级(比如3000)倍左右。

  曝光时候,能量,焦距和曝光时间很重要,会影响尺寸和图形的品质,在确定参数时,都会先做FEM(focus enerage matrix)。光刻比较在意的有这样几个结果参数:分辨率,也就是一毫米宽的距离可以画多少条清晰的线;Overlay,也就是不同层之间的对准;缺陷,做完光刻,不能有残留。还有就是感光速率,太慢效率就低了,成本会变高;另外就是膨胀,温度会热胀冷缩,影响对准。

  如前文所述,四大模组制造产品,过程当中也是要穿插检测进行测量,防止不良造成后段工序出现问题,比如光刻不同层之间要确定套准偏差在规格以内,刻蚀要关注刻蚀后的台阶,薄膜要量膜厚等等。

  除了四大模组之外的加工之外,通常还会在加工的过程中,穿插一些缺陷检测和EDC的工序,这些工序主中的缺陷部分主要是YE在抓,EDC则是上SPC Chart然后由质量部门进行监控。

  半导体是一个化不可能为可能的行业,FAB内工艺过程的操作都是原子维度,偏差控制细到纳米级。

  比如栅氧的厚度总共就是几纳米。相当于在一个操场铺水泥,整个厚度的误差要控制在一根头发的1%的程度。这个靠人是做不到的,必须依靠机台和系统才能实现。

  CIM,计算机集成制造系统,它不是一个系统,而是与制造过程强相关的一系列系统的总称,包括:MES系统、EAP系统、RMS系统、APC系统、SPC系统、YMS系统、RTS系统、RTD系统、MCS系统、AMHS系统等。

  MES:生产执行系统,用于管理车间的生产过程,是整个Run货过程的大脑,Flow相关信息都需要录入进这个系统,比如:每个Step做什么,是量测还是加工,量测要量几片,每片量几个点,要量的片数取哪个槽位,如果不够数量或者这个位置没有片子,是心往上抽还是往下抽等等,还有到了特定站点要自动Hold,自动Pi-Run,机台到达保养时刻,自动禁止Run货,发邮件提醒设备进行PM等等,林林总总有三四百个功能,在辅助管理Run货过程。

  工程师和作业员每天都会用这个系统,以确保线上总是按照设定的Rule在生产,如果违反Rule,会自动Alarm,通知Owner进行及时处置。

  MES是用于管理生产过程的IT系统,包括:对生产过程和物理设施的建模、过程监控和追溯等功能,对下连接EAP、横向对接WMS、SPC系统和物流系统、对上连接ERP系统,也会和OA系统对接

  EAP系统:设备自动化控制,加工的过程有两个步骤非常关键,那就是开始加工和结束加工。开始加工是Track In,结束加工是Track Out,这两个时间点,分别是卡住进出机台。但是进入机台后,其实还有Process要跑,到底跑哪一种Process,以及跑Process时的数据也要被监控,这就需要有一个与机台进行强交互的软件来支持,就是EAP系统。EAP系统是设备的一部分,也是由设备工程师来负责基本的处置,代码侧问题,则是由设备Call IT处理。

  SPC系统:每个Layer加工完成会有量测值采集,采集的量测结果要送给SPC系统进行分析,SPC通常会生成三张基本的Chart图:均值、极差、RawData,通过对符合正态分布的工艺特性参数进行SPC Rule分析,来确定工艺过程是否稳定。如果违反Rule,系统也会自动Alarm,严重的则会Hold Lot,并且触发OCAP,让PE工程师进行流程化处理和解Hold。

  APC:参数调优,比如根据光刻套准偏差计算补偿值,实现自动调整机台参数调整。

  YMS系统:不同的Layer,要系统来进行叠图分析,形成最终MAP图,喂给机台进行加工,实现MAP Control,还有一些原始数据的分析等等,这是一个做数据分析的系统。

  晶圆厂体现的是制造的最高水准,每天海量的数据,靠人工根本无法处理,都需要靠IT系统来完成,通过人、机、系统的协同,来实现稳定Run货和高质量的交付。

  工业软件追求的是一个稳定和防呆,通常使用的技术比较旧,一般都很难用,工作后需要特别学习和习惯。作为工程师要具备从密密麻麻一堆参数中快速捕获有价值信息的能力。

  在相当长的一段时间内,半导体CIM软件主要被IBM和AMAT垄断,禁售后,国产替代才开始慢慢有机会发展,现在新FAB基本上都是国产CIM软件为主。一个FAB通常会配置一个一定规模的IT团队对CIM系统进行维护和二开。

  半导体厂追求的是full auto,日常工作很多都要通过系统来完成,比如做实验要在系统开单;解Hold要在系统做动作;日常的Corelation分析,要用到的:机台的真空、电场、磁场、流量、压力等信息也要从系统提取等等。因此,作为工程师除了学习工艺和设备相关技能外,还要学习不同的系统操作。这样才能帮助自己在日常工作中,快速借助数据的力量定位到Root Cause。

  FAB厂需要的人才是多方面的,文科生也可以去一些支撑部门,比如HR、采购、财务、公共关系等,这些部门女生居多。

  工程、材料和物理类的去模组做设备工程师和工艺工程师。就是所谓的四大Module。

  计算机、通信和软件类可以做IT工程师,FAB自动化程度是制造业里面最高12寸普遍达到Auto3的水平,IT工程师需求量也比较大。但是,工业系统几乎都是用的旧技术,落后互联网至少一到两代,遇到用VB写的程序也不要太惊讶。所以,想学技术还是要去互联网,进了FAB如果自学能力不强,后续大概率就去不了互联网了。

  电子类可以做TD和PIE工程师,TD主要是做新技术的研发,PIE就是各种拉通对齐和把TD开发好的技术Transfer到量产。这两个岗位都要做lot Owner,从投片一直跟到shipping,过程中遇到问题要写各种报告。要给PE和MFG提各种要求。

  负责承接客户的需求,并转化为内部的需求,进行研发。平时要自己去申请投片,在系统去填资料。下线后要自己追踪lot,要识别出,整条Flow中,哪些地方要重点确认,然后设定KPI指标,请Module进行重点关注。

  作为TD工程师,不能把指令下错,系统里面key错,下游就会很多工作白做,经常出错会被兄弟部门骂;还要做好拉通,线上很多问题也会Call Owner处理,比如,LOT到了Mask还没到,Golden Map还没确定,CD超了,是Rework还是报废等,还有许许多多具体的事情,都要处理。比如,各站点PRS标准,WAT参数,工艺窗口spec光刻站点对位偏差过大,是放行还是rework。CMP站点后值厚度不足,是放行还是redep,也要做决定。

  TD负责研发阶段的流片,可以定义具体的工程实现方案,频繁变更会是常态,都要拉通下游配合。一个好的TD还要是一个拉通对齐工程师,毕竟只有让PE、PIE和MFG好好协助才能把自己的实验完美闭环。

  TD做的事情,类似一个开创性的工作,要把客户的技术需求落到FAB厂里面去。客户通常会给process condition,要把这些东西结构化,转成内部EN单,然后签核。比如背面减薄厚度,金属层结构,是否有委外,photo的CD,etch的深度等等,这些信息要在内部会议落实下去。就要做很多实验去证明可行性。相当于把一座大山,挖出了一条小道路(baseline),然后就交给FAB去做。

  PIE负责整条Flow的日常支持和NTO,对最终的良率和电性负责。接棒TD的工作,做的更具体一点,相当于把TD挖出的小路拓宽,构筑的更稳健。相当于TD交给的Flow进行持续改进和稳定生产,确保电性和良率。

  一条Flow下来,大概有几百步到上千步,PIE要从这些步骤中,选出key Step进行重点监控,转成指标信息交给Module PE以便他们可以提前知道异常,而采取行动。

  平时工作就是看Inline的数据,还要一些WAT和cp的结果。有问题就要去分析,然后highlight。

  PE工程师负责具体的模组的Step和机台,要确保过自己机台的货都没有问题。工艺通常会设置一些监控的品质指标,比如膜厚,均匀度,颗粒等等。每日要监控一些Inline和offline的图表,有问题要及时处理和复机,避免不要再次发生。一般会针对qtine和瓶颈机台重点关注。

  平时看很多Run货图表和数据的时候,如果参数跑掉,就要根据数据去调机。比如,Etch的KPI是etch rate,就不能差太多,不然Recipe设置的基准就会有问题,到时候刻蚀不净,过刻,还和湿法干法各向的同异,Layout,选择比相关,都需要去maintain分析。有设备相关的问题就要请设备帮忙查,设备做完动作,交机回来还要再确认没问题,再还给制造部。

  PE要值夜班,夜班交接事项:Hold lot处理、机台、产品相关事项的处理、Issue等。

  还有一些项目和实验要做,比如:hold 比例下降项目、良率提项目等,要值夜班半岛官网。在做这一部分的时候就要和上下工艺和设备以及一些其他部门沟通协调。还要处理其他部门的一些hl,比如PIE,其他Module,YE等等,通常光刻和刻蚀被HL概率最大。

  一个好的PE,不能成为一个救火员,要学会通过数据分析来快速解决Issue。如果掌握excel数据分析技能和一些基本的SQL,就会事半功倍。

  主要就是保持机台的稳定,FAB里面的机台,每时每刻都会产生大量的数据,包括电,磁、流量,真空,温度,压力这些,如果alarm,要快速定位到具体的元件,然后处理完快速交机。

  还有一些Routine工作,比如日常保养,新机台的安装和调试,sop制作,fdc 监控逐渐衰退的trendchart,提前更换零件之类。如果,Down机要写处置报告。

  比如,发现一张Special Map,边缘有雾状颗粒,而且很多都有,就要去MES系统抓数据,分析完发现,都是同一个FOUP,就要去请Module查这个FOUP,然后发现是一颗螺丝弹簧老化,吹氮气时候,颗粒被吹进去FOUP,污染了Wafer。查到原因之后就要平行展开,进行全厂的foup螺丝检查半岛官网,这种就是抓到Case的YE,避免了很大损失,很有成就感的事情。所以,YE要有很强的抓数据分析能力和Report能力。分析出有问题就hl模组,让他们做改善。

  还有一些其他的岗位,比如,PP:生产计划,IE:工业工程师,厂务工程师,TO:生产技术员,线上小妹,IT工程师等等。

  台厂在高端电子代工占据全球半壁江山,公司都很大,几万人到几十万人不等,整个职位等级比较规整,他们貌似基本上实现了全行业标准化,一个协理出来,大概就知道是什么层级的管理层,相对而言,大陆企业的职位体系就各个公司都有很大差异,一个经理你就不好知道他是什么样的管理层。半导体行业受台湾影响比较大,整个职位体系就与台厂相近。台厂典型职位等级是:线长(班长)、组长、课长、部长、专理、副理、经理、处长、协理、副总、总经理、副总裁、总裁(台)。

  大陆半导体厂基本上也是裁剪使用这一套,基本上会有这些职务:班长、课长(科长、课经理)、经理(部经理)、处长、总裁这样,其中省去了一些大陆不习惯的专理和协理这种称呼。

  Capability:代表机台能力,这是MES系统的一个术语,用来表征机台可以干什么。比如:CVD淀积,可以淀积金属钨,也可以淀积Sio2,这就是具备两种能力。通常由于通入物质不同,在实务中我们就会用两台设备来分开满足,因此这两台设备就分别具有其中一种能力。这样,contact就要用具有具有钨能力的机台,绝缘层就可以用TEOS的机台。Equip和Capability有关联关系。一个机台可以具有多种能力或一种能力。

  Capability是Module提供给TD和PIE的条件的返回值,通过他们给的条件,来实现一定的结果。

  Recipe:配方/菜单,用以定义机台的一组加工参数和job process的集合。比如:感应到片子、抽最上面一片,进腔体1,抽线min,破真空,把片子放回原Slot(槽位)。这样一个包含传动、流程和过程参数组成的集合就叫Recipe,Recipe具有唯一性,会用一个ID进行表达,这个ID就是PPID,要加工时,输入此ID,机台就会调用相应参数Run货。Recipe定义的是机台的详细步骤,一步通常有多个变量,涉及水电压力场磁温时等。

  Job:机台内部的加工过程,包括步骤以及每个步骤的腔体和对应参数和时间等的一个集合,多腔连续做设备先做哪个,后做哪个,串行还是并行。

  打标机:用激光技术在Wafer上面刻上ID和在划片道打印标记符号,用于层之间的对准。

  涂胶机:PR Coat,通过旋转在Wafer上匀胶,分正负光刻胶两种,正胶见光死,负胶见光活。

  光刻胶是高分子有机物,叫光致抗蚀剂。比如负胶被光照到地方会发生交链反应,原本线型结构变成网状结构,到显影液中就不会去掉而被保留。正向光刻胶,则被照的地方会发生降解,而更容易被显影液溶解。

  显影机:给光刻胶喷显影液,然后再喷清洗液,让图形显示出来。为了工艺效率,涂胶曝光显影会Inline作业。

  PS:这里Inline是连线作业,要区别于SPC参数的Inline 一个单词,不同意思。

  显影通常和涂胶是组成一台,叫Track(轨道),之所以这样叫,因为像轨道:

  两种区别在于:stepper是一次曝光整个mask,scanner则是曝光一部分。它们的运动方式也不一样,前者是一个shot一个shot移动,scanner则是Wafer和mask垂直方向运动。比如这个视频:【Scanner光刻机与Stepper光刻机有什么区别?-哔哩哔哩】

  大面积透光为明场光罩板(Clear Mask),大面积不透光为暗场光罩板(Dark Mask),GDSII定义的图形区不透光则对应为Clear Mask,反之则为Dark Mask。

  PS:GDS是一种文件格式,designHouse交付给Foundry的物理版图就是这种格式,要了解研发流程,可以看这一篇:

  7517575?utm_psn=2337Season片:机台启动后,投个Season片进行暖机。不同机台或者Chamber的暖机机制差别很大,有些是For Recipe的,比如从A Recipe切换到B Recipe就要暖机;还有是For idle时间的暖机,比如停机多久就要暖机。当然,除了暖机还有冷机,就是比如切换到某个Recipe 必须冷却一段时间才能Run货。

  Monitor:跑控片,监控机台的健康状况,通常要给机台设置 Daily的Monitor job,这是PE监控Recipe加工参数有没有跑掉的重要手段,比如刻蚀速率、薄膜均匀度、颗粒之类,如果跑控片有问题就要对Recipe或者机台做处理半岛官网,处理方式有:改参数、PM机台。

  Constraint:机台限制,包括有正向约束,反向约束,golden,唯一正向约束,其中,黄金约束是同组设备哪些可Run,哪些禁Run。Golden机台一般By Product释放,由质量部门监控其Inline和offline的表现。低于标准值,则踢出出Golden。

  在Golden的基础上,模组还可以自己添加Constraint,对机台进行再次的限制。正向、负向、Golden的复杂逻辑控制,主要在Constraint系统里面进行控制,由IT编码实现。

  立式炉管是现代半导体制造中常用的热处理设备,它们通常具有垂直排列的石英管,用于加热放置在管子周围的晶圆。立式炉管的主要优点包括良好的温度分布和气氛控制,以及较少的颗粒产生。

  - 特点:工作温度范围通常在100至1050摄氏度,压力为一个标准大气压,一般使用氢气加氧气或氮气作为工艺气体。

  - 应用:主要用于不同类型的薄膜在晶圆表面的沉积工艺,如多晶硅、氮化硅、氧化硅等。

  - 特点:工作温度一般在500至800摄氏度,压力在7.5Pa以下,需要配备真空泵以维持低压环境。

  - 特点:与低压化学气相沉积设备相比,ALD提供相同的工艺应用,但沉积顺序不同,工作环境要求与低压化学气相沉积设备相似。

  卧式炉管的石英管是水平放置的,用来放置和加热硅片。尽管立式炉管已经成为主流,但卧式炉管仍然在某些特定应用中发挥作用。

  快速热处理炉是一种小型的快速加热系统,使用卤素红外灯作为热源,能够迅速将硅片温度升至加工温度,并在工艺结束后快速冷却。RTP设备的温度控制更先进,适用于小批量硅片的快速处理。

  Lot:若干片晶圆组成的一个批次叫Lot,比如8片一个Lot,25片一个Lot,通常会用一个ID进行标识。生产过程中,这25片通常是一起加工,但是特殊情况也会被分开,分开这个动作叫作分批,分出来的批次叫作子批。

  Wafer:晶圆,口语叫片子,是一个统称,应该是包含外延,加工过的衬底和裸片。

  Substrate:衬底,是由半导体单晶材料制造而成的晶圆片,是FAB厂从供应商采购的原材料,它也可以进行外延工艺加工生产外延片。

  EPI:Epitaxy外延片,经过MOCVD工艺,可以在衬底上长一层几微米的单晶,可以与衬底为同一材料,也可以是不同材料(同质外延或者是异质外延),由于新生单晶层按衬底晶相延伸生长,从而被称之为外延层。

  DIE:晶圆上面的一个芯片,也叫管芯,比如一片6寸的晶圆上可以制造3000个Die。

  BIN:Bin的原意是箱子,容器。产品有良品有不良品,要分开装入不同编号的桶,所以这个“箱子”就有类别或等级的含义。所以Bin1就是“箱子1”,不同Bin值代表不同类的产品,通常约定俗成的是Bin1是良品,其他Bin值则由工程师定义,比如也可定义为:bin1是高性能Die/bin2是低性能Die/bin3-bin100是不合格Die,这种情况下,如果一颗Die既是Bin50,又是Bin3,一般就说它是Bin3。那为什么一颗Die可以既是Bin3又是BIN50呢?那是因为:一个Die测试项非常多,一个测试项可定义为一个Bin,比如Ig、Id等等。

  背面刻号:衬底来料背面通常会有供应商刻的追溯ID,是一串数字和字母组成的流水码,叫T7 Code。

  Spec:参数,来料关注的一些技术参数,比如厚度,电阻率之类的关键参数信息。还有工艺加工过程的参数也是叫Spec。参数通常会设置规格,超规格一般的处理是Hold

  PN:料号,不同供应商,不同材料参数的衬底会有不同的料号,和Raw material一样的意思,站在供货方角度叫PN,站在收货方角度就叫原材料。

  NPW片:非产品片的总称,包括:结构片、监控片(Monitor)、QC片、Season暖机片、Dummy片

  QC片:定期检测机台参数是否OK,比如刻蚀速率、颗粒是否OK,就会投QC片子进去加工来检测参数

  offline:与Lot加工处理不相关的定义为offline,比如设备PM、NPW的处理过程、辅助工具的处理过程的一些数据监控

  SPC:统计过程控制,用以监控生产过程的稳定性,是一套IT系统。包括:控制图查询、异常查询等。比如膜厚,会监控单点规格和均值。超管控(OOC/OOS)会触发邮件报警和Hold,还有一些判异规则:比如连续七点上升或下降之类也会有相应处置。SPC Rule通常要结合实际使用,比如tf的工艺特性是随着时间推移,某些参数会逐渐下降,那么就可以启用连续下降的Rule。但是有些是非正态分布和连续的值,比如缺陷数,这些就卡一个spec就行。在应用spc的时候,终极目标是持续减少普通原因,抓住特殊原因,但是也要兼顾工程师loading。

  客户给一个规格,比如99~100,工厂能不能做到,就要去抓在线数据,算出中心值和分布情况标准差,然后和客户要求的上下限放在一起形成控制图。天天这样算太麻烦,于是就有指标来协助,比如cp和cpk。

  cp常识理解就是制程能力,能力越强,波动范围越小,也就是cp值越大,波动范围越小。纯公式理解也是这个结论,客户要求的规格Range/实际的6西格玛。波动小,代表分母小了,因为分子不变所以cp就大。一般大于1.33是好的。

  PPK和CPK:衡量过程能力的指数,CPK是只考虑组内的偏差和变异,比如不考虑前层对本层的影响,实际是会影响的,所以,就要工艺稳定时候才能用。PPK则是考虑了其他组数据数据的影响。因此,ppk的分母通常比较大,所以算出来的值会小一点。ppk用的是全局的标准差参与计算,CPK用的是组内的西格玛进行计算。

  OOC/OOS:针对规格或控制图的两对控制线(管控线(规格线),OOC和OOS主要是Range的不同。理论上oos就要报废的,可是实际工作中,由于人性,大家设置规格时候都会层层给自己buffer,所以也会出现超过之后,还往下放的情况,只是开一个DRB做监控。

  Batch:若干个lot和NPW组成的集合,产品+非产品一起进去加工,出来后,把NPW拿去测颗粒(Particle),用来判断产品上的颗粒水平,NPW是光片上面没图形测得更准。比如:炉管通常都是多个Lot一起组成Batch放在晶舟投进去加工。

  issue:产线出现的问题,Triger Issue的因素很多,大概包括以下几个来源:工程师发现、系统发现、客户发现。通常系统发现的影响程度最小、工程师次之,客户发现最受重视,大部分是这样,不一定全是。

  OP meeting:跨部门的生产会议。参会成员包括:Module、制造部、质量、TD、PIE、YE、MPC、计划、市场、厂务等,一般是一级领导参会。每天议题固定,主要包括:投片和产出进展、重点批次的进展和提前准备通知、wip Profile Review,hold RatioReview,昨晚的Down机情况以及一些AR的跟进。其他部门的一些重要议题等。发生重大Issue一般要准备报告来op汇报。是工厂里面重要的拉通对齐和highlight会议。看工厂,一般是早晚各一次。

  Particle:颗粒,就是金属颗粒,灰尘这些附着物,会影响器件性能,金属颗粒会影响阈值电压,附着物会造成表面缺陷,Particle这是半导体杀手。会有很多举措来防止这件事情的发生。比如施工搭软帘,两米以内不准开pod,施工前中后都要测颗粒等等。

  PWQ:为了更好地回避晶圆之间工艺参数的涨落对确定工艺窗口的影响,可以在同一片晶圆上改变曝光能量和聚焦度,再用缺陷检测的方法来确定最佳曝光条件。这一工作被称为工艺窗口的再验证(process window qualification, PWQ)

  SRC:split runcard,分片单,做实验时候要从主FLOW上开分片单,跑不同条件

  BSL:baseline,基线,基准,baseline机台指的是作为基准的设备,比如第一台研发成功的设备,后续的就属于扩机设备,而baseline spec就是确定了作为基准的规格,这个是一个形容词,可以用在很多场景,这里只举例两个而已。

  Prob Card:探针卡,Wafer生产完成之后,出货前要做CP测试,用于连接Wafer和测试机台(tester)的治具。

  MAP:晶圆制造是By Layer制造,中间生产的关键层会去扫Defect,最后成品会测Wat和CP,最终结果会把几层的结果做叠图,形成Map,再Ink掉fail Die。

  OCAP:out of control action plan失控行动计划,比如EDC OOS了,第一步是确认量测数据有没有错,第二步是重新量,第三步是针对机台和片子的处理等等,这样的一个流程方案。

  DRB,EAR、MRB:大概就是异常的处理流程,DRB是确认要不要追踪电性,比如介质厚度便薄可能会影响电容,就要追踪一下子,这个lot owner层级可处理。出现重大事件,就要启动EAR和MRB处置流程,这个流程层级更高,要提交8D报告。

  pod:box的升级版,增加了用于自动化OHT抓取的装置,Cassette可以放在里面。

  smiff:即采用了标准机械界面(standard mechanical interface,简称SMIF)技术的pod,SMIF由三部分组成:用来封闭在制造过程中存储和运输盒装半导体晶片的集装箱,即SMIF晶片盒(pod);用来打开SMIF晶片盒(pod)的输入输出装置,即smif装载端口(SMIF i/o,SMIF input/output);以及通过工艺系统实现装载端口整合的洁净室。

  FOUP:Front Opening Unified Pod,前开式晶圆传送盒,主要用于Fab厂内部晶圆的保护、运送、储存,是一种专属于12吋晶圆厂内的自动化传送系统重要的传载容器,类似于8寸的smiff。

  与200mm SMIF概念类似,300mm的FOUP将吊舱密封在一个可控制的环境内,而且用于操作SMIF吊舱的同样类型的机械手也用于工厂内的自动搬运FOUP。FOUP和SMIF在概念上主要有两点不同:一是FOUP的开门在前面,而SMIF的吊舱在底板开门;二是FOUP内部有一个内嵌的晶圆片夹,而SMIF吊舱内部是一个可以移动的晶圆片夹。

  当看到下面的吐槽会有一点感同身受的时候,就算是在FAB站稳脚跟的老人了。

  每天穿上无尘服,感觉自己像个宇航员。只不过,他们上厕所方便,我们上厕所得先拆解自己。

  在Fab厂工作五年,依旧单身,毕竟天天穿无尘服,带口罩,去食堂吃饭同事在我旁边都不认识。

  白天睡觉,晚上工作,生活节奏与众不同。我严重怀疑自己是不是加入了某个秘密组织,白天隐藏身份,夜晚执行任务。

  在FAB,每个人都能找到自己的通道,有的通往加班,有的通往Oncall,有的通往写报告挨叼。

  自从进FAB上班,我记性就开始变差,早晨醒来,我发现女朋友不见了,就一直找,找了好久都没找到,后来,我才想起来,原来我没有女朋友。

010-53395598